Martonosi Research Group
Depts. of Computer Science and Electrical Engineering
Princeton University
Princeton, NJ


Publications

Over the years, our work has been supported in part by the National Science Foundation, DARPA, IARPA, DOE, and several companies.

*Any opinions, findings, and conclusions or recommendations expressed in this material are those of the author(s) and do not necessarily reflect the views of the funding entities.

The papers below are subject to copyright by ACM, IEEE, or other entities.

Samuel Stein, Sara Sussman, Teague Tomesh, Charles Guinn, Esin Tureci, Sophia Fuhui Lin, Wei Tang, James Ang, Srivatsan Chakram, Ang Li, Margaret Martonosi, Fred Chong, Andrew A. Houck, Isaac L. Chuang, Michael DeMarco. “HetArch: Heterogeneous Microarchitectures for Superconducting Quantum Systems.” IEEE/ACM International Symposium on Microarchitecture (MICRO-56), 2023. (pdf)

Aninda Manocha, Zi Yan, Esin Tureci, Juan L. Aragón, David Nellans, Margaret Martonosi. “Architectural Support for Optimizing Huge Page Selection Within the OS.” IEEE/ACM International Symposium on Microarchitecture (MICRO-56), 2023. (pdf)

Marcelo Orenes-Vera, Hyunsung Yun, Nils Wistoff, Gernot Heiser, Luca Benini, David Wentzlaff and Margaret Martonosi. “AutoCC: Automatic Discovery of Covert Channels in Time-Shared Hardware.” 56th Annual International Symposium on Microarchitecture (MICRO-56), 2023. (pdf) (github)

Marcelo Orenes-Vera, Esin Tureci, David Wentzlaff, Margaret Martonosi. “Dalorex: A Data-Local Program Execution and Architecture for Memory-bound Applications.” In Proceedings of the 29th Annual International Symposium on High Performance Computer Architecture (HPCA), 2023. (pdf)

Fei Gao, Ting-Jung Chang, Ang Li, Marcelo Orenes-Vera, Davide Giri, Paul Jackson, August Ning, Georgios Tziantzioulis, Joseph Zuckerman, Jinzheng Tu, Kaifeng Xu, Grigory Chirkov, Gabriele Tombesi, Jonathan Balkind, Margaret Martonosi, Luca Carloni, David Wentzlaff. “DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including Accelerators, Intelligent Storage, and eFPGA in 12nm FinFET.” In Proceedings of the IEEE Custom Integrated Circuits Conference (CICC), 2023. (pdf)

Marcelo Orenes-Vera, Aninda Manocha, Jonathan Balkind, Fei Gao, Juan L. Aragón, David Wentzlaff, Margaret Martonosi. "Tiny but Mighty: Designing and Realizing Scalable Latency Tolerance for Manycore SoCs", The 49th Annual International Symposium on Computer Architecture (ISCA '22), June 2022. IEEE MICRO Top Picks Honorable Mention (pdf) (slides) (demo) (github)

Aninda Manocha, Zi Yan, Esin Tureci, David Nellans, Juan Luis Aragón, Margaret Martonosi. “The Implications of Page Size Management on Graph Analytics.” IEEE International Symposium on Workload Characterization (IISWC), 2022. (pdf)

Teague Tomesh, Pranav Gokhale, Victory Omole, Gokul Subramanian Ravi, Kaitlin N. Smith, Joshua Viszlai, Xin-Chuan Wu, Nikos Hardavellas, Margaret Martonosi, Frederic T. Chong. "SupermarQ: A Scalable Quantum Benchmark Suite", The 28th IEEE International Symposium on High-Performance Computer Architecture (HPCA-28), April 2022. Best Paper Award (pdf) (full talk) (lightning talk) (github)

Teague Tomesh*, Kaiwen Gui*, Pranav Gokhale, Yunong Shi, Frederic T. Chong, Margaret Martonosi, Martin Suchara. "Optimized Quantum Program Execution Ordering to Mitigate Errors in Simulations of Quantum Systems", IEEE International Conference on Rebooting Computing (ICRC), December 2021. (*joint first authors) Best Paper Award (pdf) (recording) (github)

Aninda Manocha, Juan L. Aragón, Margaret Martonosi. "GraphFire: Synergizing Fetch, Insertion, and Replacement Policies for Graph Analytics", IEEE Transactions on Computers, March 2022. (pdf) (github)

Marcelo Orenes-Vera, Aninda Manocha, David Wentzlaff, Margaret Martonosi. "AutoSVA: Democratizing Formal Verification of RTL Module Interactions", 58th Design Automation Conference (DAC), December 2021. (pdf) (recording) (slides) (github)

Aninda Manocha, Tyler Sorensen, Opeoluwa Matthews, Esin Tureci, Juan L. Aragón, Margaret Martonosi. "GraphAttack: Optimizing Data Supply for Graph Applications on In-Order Multicore Architectures", ACM Transactions on Architecture and Code Optimization (TACO) Vol. 18, No. 4, September 2021. (pdf) (github)

Lingling Lao*, Prakash Murali*, Margaret Martonosi, Dan Browne. "Designing Calibration and Expressivity-Efficient Instruction Sets for Quantum Computing", 47th International Symposium on Computer Architecture (ISCA ’21). (pdf) (*joint first authors)

Wei Tang, Teague Tomesh, Martin Suchara, Jeffrey Larson, Margaret Martonosi. "CutQC: Using Small Quantum Computers for LargeQuantum Circuit Evaluations", In Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS ’21). (pdf), (arXiv)

Yunong Shi, Pranav Gokhale, Prakash Murali, Jonathan M. Baker, Casey Duckering, Yongshan Ding, Natalie C. Brown, Christopher Chamberland, Ali Javadi Abhari, Andrew W. Cross, David I. Schuster, Kenneth R. Brown, Margaret Martonosi, Frederic T. Chong. "Resource-Efficient Quantum Computing by Breaking Abstractions", Invited paper. Proceedings of the IEEE, vol. 108, no. 8, pp. 1353-1370, Aug. 2020. (pdf), (arXiv)

Pranav Gokhale, Olivia Angiuli, Yongshan Ding, Kaiwen Gui, Teague Tomesh, Martin Suchara, Margaret Martonosi, Frederic T. Chong. "O(N^3) Measurement Cost for Variational Quantum Eigensolver on Molecular Hamiltonians", IEEE Transactions on Quantum Engineering, November 2020. 1st Place IBM Q Best Paper Award. IEEE International Conference on Quantum Computing and Engineering (QCE) 2020 Best Paper Award (pdf), (IEEE TQE), (arXiv)

Yatin A. Manerkar, "Progressive Automated Formal Verification of Memory Consistency in Parallel Processors", Ph.D. Dissertation, November 2020. (pdf)

Tyler Sorensen, Aninda Manocha, Esin Tureci, Marcelo Orenes Vera, Juan L. Aragón, Margaret Martonosi. [Invited Talk] "A Simulator and Compiler Framework for Agile Hardware-Software Co-design Evaluation and Exploration", Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2020. (pdf) (slides) (github)

Themis Melissaris, Markos Markakis, Kelly A. Shaw, Margaret Martonosi. "PerpLE: Improving the Speed and Effectiveness of Memory Consistency Testing", the 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), October 2020. (pdf)

Jake Kirkham, Tyler Sorensen, Esin Tureci, Margaret Martonosi. "Foundations of Empirical Memory Consistency Testing", Object-Oriented Programming, Systems, Languages, and Applications (OOPLSA), October 2020. (pdf) (recording)

Opeoluwa Matthews, Aninda Manocha, Davide Giri, Marcelo Orenes Vera, Esin Tureci, Tyler Sorensen, Tae Jun Ham, Juan L. Aragón, Luca P. Carloni, Margaret Martonosi. "MosaicSim: A Lightweight, Modular Simulator for Heterogeneous Systems", Proceedings of 2020 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2020), August 2020. (pdf) (lightning talk) (github)

Prakash Murali, Norbert Matthias Linke, Margaret Martonosi, Ali Javadi Abhari, Nhung Hong Nguyen, Cinthia Huerta Alderete. "Architecting Noisy-Intermediate Scale Quantum Computers: A Real-System Study" IEEE Micro, 40 (3) (Top Picks of the 2019 Computer Architecture Conferences), May-June 2020. (pdf), (conference)

Prakash Murali, Dripto M. Debroy, Kenneth R. Brown, Margaret Martonosi. "Architecting Noisy Intermediate-Scale Trapped Ion Quantum Computers", 47th International Symposium on Computer Architecture (ISCA ’20). (pdf), (arXiv)

Naorin Hossain, Caroline Trippel, Margaret Martonosi. "TransForm: Formally Specifying Transistency Models and Synthesizing Enhanced Litmus Tests", 47th International Symposium on Computer Architecture (ISCA ’20). *Updated Aug. 1, 2020* (pdf), (arXiv) (recording) (github)

Wei Tang, Zhaoqi Leng, Andrew Houck, Margaret Martonosi. "Single-Qubit Optimal Quantum Readout via Neural Networks", Talk at the APS March Meeting 2020

Prakash Murali, David C. McKay, Margaret Martonosi, Ali Javadi Abhari "Software Mitigation of Crosstalk on Noisy Intermediate-Scale Quantum Computers", International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2020. (pdf), (arXiv)

Kaiwen Gui, Teague Tomesh, Pranav Gokhale, Yunong Shi, Frederic T. Chong, Margaret Martonosi, Martin Suchara. "Term Grouping and Travelling Salesperson for Digital Quantum Simulation", Preprint available online. (arXiv)

Prakash Murali, Norbert Matthias Linke, Margaret Martonosi, Ali Javadi Abhari, Nhung Hong Nguyen, Cinthia Huerta Alderete. "Full-Stack, Real-System Quantum Computer Studies: Architectural Comparisons and Design Insights", 46th International Symposium on Computer Architecture (ISCA ’19). (pdf), (arXiv)

Yipeng Huang, Margaret Martonosi. "Statistical assertions for validating patterns and finding bugs in quantum programs", the 46th International Symposium on Computer Architecture (ISCA ’19). (pdf) (video) (arXiv)

Themis Melissaris, Kelly A. Shaw, Margaret Martonosi. "OKAPI: In Support of Application Correctness in Smart Home Environments", The Fourth IEEE International Conference on Fog and Mobile Edge Computing (FMEC 2019), June 2019. (pdf)

Prakash Murali, Jonathan M. Baker, Ali Javadi Abhari, Frederic T. Chong, Margaret Martonosi. "Noise-Adaptive Compiler Mappings for Noisy Intermediate-Scale Quantum Computers", International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2019. (pdf), (arXiv)

Prakash Murali, Ali Javadi Abhari, Frederic T. Chong, Margaret Martonosi. "Formal Constraint-based Compilation for Noisy Intermediate-Scale Quantum Systems", Microprocessors and Microsystems, Special Issue on Quantum Computer Architecture: a full-stack overview. [Earlier version of ASPLOS 2019 paper] (pdf), (arXiv)

Caroline Trippel, Daniel Lustig, and Margaret Martonosi. "Security Verification through Automatic Hardware-Aware Exploit Synthesis: The CheckMate Approach". IEEE Micro, 39 (3) (Top Picks of the 2018 Computer Architecture Conferences), May-June 2019. (pdf) (conference)

Yipeng Huang, Margaret Martonosi. "QDB: From Quantum Algorithms Towards Correct Quantum Programs", PLATEAU workshop at the ACM SIGPLAN conference on Systems, Programming, Languages and Applications: Software for Humanity (SPLASH), November 2018. (pdf) (arXiv)

Hongce Zhang, Caroline Trippel, Yatin Manerkar, Aarti Gupta, Margaret Martonosi and Sharad Malik. "Integrating Memory Consistency Models with Instruction-Level Abstraction for Heterogeneous System-on-Chip Verification" 2018 Formal Methods in Computer Aided Design (FMCAD), Austin, TX, USA, 2018, pp. 1-10. (pdf)

Yatin A. Manerkar, Daniel Lustig, Margaret Martonosi, and Aarti Gupta. "PipeProof: Automated Memory Consistency Proofs for Microarchitectural Specifications", the 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), October 2018. Nominated for Best Paper. (pdf) (slides) (poster) (github)

Caroline Trippel, Daniel Lustig, and Margaret Martonosi. "CheckMate: Automated Exploit Program Generation for Hardware Security Verification", the 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), October 2018. (pdf)(youtube)(github)

Caroline Trippel, Yatin A. Manerkar, Daniel Lustig, Michael Pellauer, and Margaret Martonosi. "Full-Stack Memory Model Verification with TriCheck". IEEE Micro, 38 (3) (Top Picks of the 2017 Computer Architecture Conferences), May-June 2018. (pdf)

Margaret Martonosi. "Science, Policy, and Service: Some thoughts on the way forward". Communications of the ACM Viewpoint. May, 2018 (pdf)

Caroline Trippel, Daniel Lustig, and Margaret Martonosi. "MeltdownPrime and SpectrePrime: Automatically-Synthesized Attacks Exploiting Invalidation-Based Coherence Protocols", CoRR, abs/1802.03802, 2018. (pdf) (mov)

Ali Javadi-Abhari, Pranav Gokhale, Adam Holmes, Diana Franklin, Ken Brown, Margaret Martonosi, and Frederic T. Chong. Optimized Surface Code Communication in Superconducting Quantum Computers, International Symposium on Microarchitecture. Boston, MA. October 2017 (pdf)

Yatin A. Manerkar, Daniel Lustig, Margaret Martonosi, and Michael Pellauer. "RTLCheck: Verifying the Memory Consistency of RTL Designs", the 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), October 2017. (pdf) (slides) (poster) (github)

Tae Jun Ham, Juan L. Aragón, and Margaret Martonosi. 2017. Decoupling Data Supply from Computation for Latency-Tolerant Communication in Heterogeneous Architectures. ACM Trans. Archit. Code Optim. 14, 2, Article 16 (June 2017) (pdf)

Themis Melissaris, Kelly A. Shaw, Margaret Martonosi. Locomotive: Optimizing Mobile Web Traffic Using Selective Compression, IEEE International Symposium on a World of Wireless Mobile and Multimedia Networks (WoWMoM), June 2017. (pdf) (extended)

Caroline Trippel, Yatin A. Manerkar, Daniel Lustig, Michael Pellauer, and Margaret Martonosi. "TriCheck: Memory Model Verification at the Trisection of Software, Hardware, and ISA", the 22nd International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), April 2017. (pdf) (open source - github)

Pareesa Ameneh Golnari, Yavuz Yetim, Margaret Martonosi, Yakir Vizel, and Sharad Malik. 2017. PPU: A Control Error-Tolerant Processor for Streaming Applications with Formal Guarantees. J. Emerg. Technol. Comput. Syst. 13, 3, Article 43 (April 2017) (pdf)

Yatin A. Manerkar, Caroline Trippel, Daniel Lustig, Michael Pellauer, and Margaret Martonosi. "Counterexamples and Proof Loophole for the C/C++ to POWER and ARMv7 Trailing-Sync Compiler Mappings". CoRR, abs/1611.01507, 2016. (pdf)

Daniel Lustig, Geet Sethi, Margaret Martonosi, and Abhishek Bhattacharjee, "Transistency Models: Memory Ordering at the Hardware-OS Interfaces". IEEE Micro, 37 (3) (Top Picks of the 2016 Computer Architecture Conferences), May-June 2017

Tae Jun Ham, Lisa Wu, Narayanan Sundaram, Nadathur Satish, and Margaret Martonosi. "Graphicionado: A High-Performance and Energy Efficient Accelerator for Graph Analytics", the 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), October 2016. Best Paper Award. (pdf) (slides)

Margaret Martonosi, "Mobile Sensing: Retrospectives and Trends", ACM GetMobile: Mobile Computing and Communications, 2016. (pdf)

Daniel Lustig*, Geet Sethi*, Margaret Martonosi, and Abhishek Bhattacharjee, "COATCheck: Verifying Memory Ordering at the Hardware-OS Interface", the 21st International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), April 2016. (*: joint first authors) (pdf)

Yatin A. Manerkar, Daniel Lustig, Michael Pellauer, and Margaret Martonosi. "CCICheck: Using µhb Graphs to Verify the Coherence-Consistency Interface", the 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), December 2015. Nominated for Best Paper. (pdf) (slides) (poster) (github)

Tae Jun Ham, Juan Luis Aragon, and Margaret Martonosi. "DeSC: Decoupled Supply-Compute Communication Management for Heterogeneous Architectures", the 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), December 2015. IEEE MICRO Top Picks Honorable Mention. (pdf) (slides)

Daniel Lustig, "Specifying, Verifying, and Translating Between Memory Consistency Models", Ph.D. Dissertation, November 2015. (pdf)

Daniel Lustig, Caroline Trippel, Michael Pellauer, and Margaret Martonosi, "ArMOR: Defending Against Consistency Model Mismatches in Heterogeneous Architectures", the 42nd International Symposium on Computer Architecture (ISCA), June 2015. (pdf) (extended pdf and gallery) (slides) (github)

Daniel Lustig, Michael Pellauer, and Margaret Martonosi, "Verifying Correct Microarchitectural Enforcement of Memory Consistency Models." IEEE Micro, 35 (3) (Top Picks of the 2014 Computer Architecture Conferences), May-June 2015 (pdf)

Ozlem Bilgir Yetim and Margaret Martonosi. "Dynamic Adaptive Techniques for Learning Application Delay Tolerance for Mobile Data Offloading" The 34th Annual IEEE International Conference on Computer Communications (INFOCOM'15), April 2015. (pdf)

Yavuz Yetim, Sharad Malik, Margaret Martonosi, "CommGuard: Mitigating Communication Errors in Error-Prone Parallel Execution", International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS). March, 2015. (pdf)

Jeff Heckey, Shruti Patil, Ali JavadiAbhari, Adam Holmes, Daniel Kudrow, Ken Brown, Diana Franklin, Margaret Martonosi, Frederic T. Chong. Compiler Management of Communication and Parallelism for Quantum Computation. Architectural Support for Programming Languages and Operating Systems (ASPLOS), March 2015. (pdf)

Daniel Lustig, Michael Pellauer, and Margaret Martonosi. PipeCheck: Specifying and Verifying Microarchitectural Enforcement of Memory Consistency Models. 47th Annual IEEE/ACM International Symposium on Microarchitecture. December, 2014. Nominated for Best Paper Award. (pdf) (github)

Ali JavadiAbhari, Shruti Patil, Chen-Fu Chiang, Jeff Heckey, Margaret Martonosi, Frederic T. Chong. Characterizing the Performance Effect of Trials and Rotations in Applications that use Quantum Phase Estimation. IEEE International Symposium on Workload Characterization. October, 2014 (pdf)

Ozlem Bilgir Yetim and Margaret Martonosi. Adaptive Delay-Tolerant Scheduling for Efficient Cellular and WiFi Usage. IEEE International Symposium on a World of Wireless Mobile and Multimedia Networks. June, 2014. (pdf)

Ali JavadiAbhari, Shruti Patil, Daniel Kudrow, Jeff Heckey, Alexey Lvov, Frederic T. Chong, Margaret Martonosi. ScaffCC: A Framework for Compilation and Analysis of Quantum Computing Programs. ACM International Conference on Computing Frontiers, May 2014. Best Paper Award. (pdf) (github)

Wenhao Jia, Kelly A. Shaw, and Margaret Martonosi. MRPB: Memory Request Prioritization for Massively Parallel Processors. 20th IEEE International Symposium on High Performance Computer Architecture (HPCA 2014) (pdf)

Darakhshan Mir, Sibren Isaacman, Ramon Caceres, Margaret Martonosi, and Rebecca Wright. DP-WHERE: Differentially Private Modeling of Human Mobility, In Proc. IEEE International Conference on Big Data (BigData), October 2013 (pdf)

Wenhao Jia, Kelly A. Shaw, Margaret Martonosi, Starchart: Hardware and Software Optimization Using Recursive Partitioning Regression Trees. In Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques (PACT 2013) (pdf) (code release)

Daniel Lustig, Abhishek Bhattacharjee, and Margaret Martonosi, "TLB Improvements for Chip Multiprocessors: Inter-Core Cooperative Prefetchers and Shared Last-Level TLBs", ACM Transactions on Architecture and Code Optimization (TACO) vol 10, Issue 1, April 2013. (pdf)

Yavuz Yetim, Margaret Martonosi, Sharad Malik, "Extracting Useful Computation From Error-Prone Processors For Streaming Applications", Design, Automation and Test in Europe (DATE), March, 2013. (paper pdf) Animation of image in paper Audio decoder example (MP3)

Daniel Lustig and Margaret Martonosi, "Reducing GPU Offload Latency via Fine-Grained CPU-GPU Synchronization", 19th IEEE International Symposium on High Performance Computer Architecture (HPCA), Shenzhen, China, February, 2013. (pdf)

R. Becker, R. Cáceres, K. Hanson, S. Isaacman, J. M. Loh, M. Martonosi, J. Rowland, S. Urbanek, A. Varshavsky, and C. Volinsky, "Human Mobility Characterization from Cellular Network Data", Communications of the ACM (CACM) 56, 1 (January 2013), 74-82. (pdf)

Maja Etinski, Margaret Martonosi, Kien Le, Ricardo Bianchini, and Thu Nguyen. "Optimizing the Use of Request Distribution and Stored Energy for Cost Reduction in Multi-Site Internet Services" The Second IFIP Conference on Sustainable Internet and ICT for Sustainability, October, 2012. (pdf)

Ozlem Bilgir Yetim and Margaret Martonosi. "Adaptive Usage of Cellular and WiFi Bandwidth: An Optimal Scheduling Formulation", ACM MobiCom Workshop on Challenged Networks, August, 2012. (pdf)

S. Isaacman, R. Becker, R. Cáceres, M. Martonosi, J. Rowland, A. Varshavsky, and W. Willinger. "Human Mobility Modeling at Metropolitan Scales", 10th ACM International Conference on Mobile Systems, Applications, and Services (MobiSys), June 2012. (pdf)

Wenhao Jia, Kelly A. Shaw, Margaret Martonosi. "Characterizing and Improving the Use of Demand-Fetched Caches in GPUs". Proceedings of the 26th International Conference on Supercomputing (ICS 2012).June, 2012.(pdf)

Emmanouil Koukoumidis, Li-Shiuan Peh, and Margaret Martonosi. Leveraging Smartphone Cameras for Collaborative Road Advisories. IEEE Transactions on Mobile Computing. Invited paper. May 2012 (vol. 11 no. 5). (pdf)

Wenhao Jia, Kelly A. Shaw, Margaret Martonosi. "Stargazer: Automated Regression-Based GPU Design Space Exploration." Proceedings of 2012 IEEE International Symposium of Performance Analysis of Systems and Software (ISPASS 2011), April 2012.(pdf) (code release)

Yavuz Yetim, Sharad Malik, and Margaret Martonosi. " EPROF: An Energy/Performance/Reliability Optimization Framework." 17th Asia and South Pacific Design Automation Conference ASP-DAC 2012, Jan-Feb 2012. (pdf)

Carole-Jean Wu, Aamer Jaleel, Will Hasenplaugh, Margaret Martonosi, Simon Steely Jr., and Joel Emer. "SHiP: Signature-Based Hit Predictor for High Performance Caching." Proceedings of the 44th International Symposium on Microarchitecture (MICRO-44). December 2011. (pdf)

Carole-Jean Wu, Aamer Jaleel, Margaret Martonosi, Simon Steely Jr., and Joel Emer. "PACMan: Prefetch-Aware Cache Management for High Performance Caching." Proceedings of the 44th International Symposium on Microarchitecture (MICRO-44). December 2011. (link)

Ozlem Bilgir, Margaret Martonosi and Qiang Wu. "Exploring the Potential of CMP Core Count Management on Data Center Energy Savings." 3rd Workshop on Energy Efficient Design (WEED 2011), June 2011. (pdf)

Sibren Isaacman, Stratis Ioannidis, Augustin Chaintreau and Margaret Martonosi. Distributed Rating Prediction in User-Generated Content Streams. 5th ACM Conference on Recommender Systems (RecSys 2011). October, 2011. (pdf)

Emmanouil Koukoumidis, Li-Shiuan Peh and Margaret Martonosi. "SignalGuru: Leveraging Mobile Phones for Collaborative Traffic Signal Schedule Advisory" 9th International Conference on Mobile Systems, Applications, and Services (MobiSys 2011), June 2011. (pdf) Best Paper Award.

Sibren Isaacman, Richard Becker, Ramon Cáceres, Stephen Kobourov, Margaret Martonosi, James Rowland, Alexander Varshavsky. "Identifying Important Places in People's Lives from Cellular Network Data." 9th International Conference on Pervasive Computing (Pervasive 2011), June 2011 (pdf)

Carole-Jean Wu, Margaret Martonosi. "Adaptive Timekeeping Replacement: Fine-Grained Capacity Management for Shared CMP Caches" ACM Transactions on Computer Architecture and Code Optimization (TACO), April 2011. (pdf)

Carole-Jean Wu, Margaret Martonosi. "Characterization and Dynamic Mitigation of Intra-Application Cache Interference." Proceedings of 2011 IEEE International Symposium of Performance Analysis of Systems and Software (ISPASS 2011), April 2011. (pdf)

Emmanouil Koukoumidis, Li-Shiuan Peh and Margaret Martonosi. "RegReS: Adaptively Maintaining a Target Density of Regional Services in Opportunistic Vehicular Networks" 9th Annual IEEE International Conference on Pervasive Computing and Communications (PerCom 2011), March 2011. (pdf)

Emmanouil Koukoumidis, Dimitrios Lymberopoulos, Karin Strauss, Jie Liu, and Doug Burger. "Pocket Cloudlets" 16th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2011), March 2011. (pdf)

Sibren Isaacman, Margaret Martonosi. "Low-Infrastructure Methods to Improve Internet Access for Mobile Users in Emerging Regions." 20th International World Wide Web Conference (WWW 2011), March 2011 (pdf)

Sibren Isaacman, Richard Becker, Ramon Cáceres, Stephen Kobourov, Margaret Martonosi, James Rowland, Alexander Varshavsky. "Comparing the Range of Human Mobility in Los Angeles and New York", 8th International Workshop on Managing Ubiquitous Communications and Services (MUCS 2011), March 2011 (pdf)

Abhishek Bhattacharjee, Gilberto Contreras, Margaret Martonosi. "Parallelization Libaries: Characterizing and Reducing Overheads", ACM Transactions on Computer Architecture and Code Optimization (TACO), Vol. 8, No. 1, Article 5, April 2011. (pdf)

Abhishek Bhattacharjee, Daniel Lustig, Margaret Martonosi. "Shared Last-Level TLBs for Chip Multiprocessors", International Symposium on High Performance Computer Architecture (HPCA 2011), February 2011. (pdf)

Pei Zhang and Margaret Martonosi. CA-TSL: Energy Adaptation for Targeted System Lifetime in Sparse Mobile Ad-Hoc Networks. IEEE Trans. on Mobile Computing. Dec. 2010. (pdf)

Sibren Isaacman, Richard Becker, Ramon Cáceres, Stephen Kobourov, James Rowland, Alexander Varshavsky. "A Tale of Two Cities." Workshop on Mobile Computing Systems and Applications (HotMobile 2010), October 2010. (pdf)

Pradip Hari, John McCabe, Jonathan Banafato, Marcus Henry, Kevin Ko, Emmanouil Koukoumidis, Ulrich Kremer, Margaret Martonosi, and Li-Shiuan Peh. "Adaptive Spatiotemporal Node Selection in Dynamic Networks" 19th International Conference on Parallel Architectures and Compilation Techniques (PACT 2010), September 2010. (pdf)

Kien Le, Ozlem Bilgir, Ricardo Bianchini, Margaret Martonosi, Thu D. Nguyen. "Capping the Brown Energy Consumption of Internet Services at Low Cost." International Green Computing Conference (IGCC 2010), August 2010. (pdf) Best Paper Award.

Kien Le, Ozlem Bilgir, Ricardo Bianchini, Margaret Martonosi, Thu D. Nguyen. "Managing the Cost, Energy Consumption, and Carbon Footprint of Internet Services." Proceedings of ACM SIGMETRICS 2010, June 2010. (pdf)

Abhishek Bhattacharjee, Margaret Martonosi. "Inter-Core Cooperative TLB Prefetchers for Chip Multiprocessors", International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2010), March 2010. (pdf)

Sibren Isaacman, Margaret Martonosi. "The C-LINK System for Collaborative Web Usage: A Real-World Deployment in Rural Nicaragua." Proceedings of the 2009 ACM workshop on Networked Systems for Developing Regions (NSDR 2009), October 2009. (pdf)

Abhishek Bhattacharjee, Margaret Martonosi. "Characterizing the TLB Behavior of Emerging Parallel Workloads on Chip Multiprocessors", International Conference on Parallel Architectures and Compilation Techniques (PACT 2009), September 2009. (pdf) One of three finalists selected for the best paper award.

Abhishek Bhattacharjee, Margaret Martonosi. "Thread Criticality Predictors for Dynamic Performance, Power, and Resource Management in Chip Multiprocessors", International Symposium on Computer Architecture (ISCA 2009), June 2009.(pdf)

Vincent Lenders and Margaret Martonosi. Repeatable and Realistic Experimentation in Mobile Wireless Networks. IEEE Transactions on Mobile Computing. May, 2009. (pdf)

Pradip Hari, Kevin Ko, Emmanouil Koukoumidis, Ulrich Kremer, Margaret Martonosi, Desiree Ottoni, Li Shiuan Peh and Pei Zhang. "SARANA: Language, Compiler, and Runtime System Support for Spatially-Aware and Resource-Aware Mobile Computing" Philosophical Transactions of the Royal Society, 2008. (pdf)

Gilberto Contreras, Margaret Martonosi. "Characterizing and Improving the Performance of the Intel Threading Building Blocks Runtime System." International Symposium on Workload Characterization (IISWC 2008), September 2008. (pdf)

Sibren Isaacman, Margaret Martonosi. "Potential for Collaborative Caching and Prefetching in Largely-Disconneced Villages." Wireless Networks and Systems for Developing Regions Workshop, September 2008 (pdf)

Abhishek Bhattacharjee, Gilberto Contreras, Margaret Martonosi. "Full-System Chip Multiprocessor Power Evaluations Using FPGA-Based Emulation". International Symposium on Low Power Electronics and Design (ISLPED 2008), August 2008. (pdf)

Carole-Jean Wu, Margaret Martonosi. "A Comparison of Capacity Management Schemes for Shared CMP Caches". 7th Annual Workshop on Duplicating, Deconstructing, and Debunking (WDDD) in conjunction with ISCA-35, June 2008. (pdf)

Pei Zhang, Margaret Martonosi. "LOCALE: Collaborative Localization Estimation for Sparse Mobile Sensor Networks". The International Conference on Information Processing in Sensor Networks (IPSN 2008), April 2008. (pdf)

Vincent Lenders, Emmanouil Koukoumidis, Pei Zhang, Margaret Martonosi. "Location-based Trust for Mobile User-generated Content: Applications, Challenges and Implementations". The 9th IEEE Workshop on Mobile Computing Systems and Applications(HotMobile 2008), February 2008. (pdf)

Yong Wang, Pei Zhang, Ting Liu, Chris Sadler, Margaret Martonosi. "Movement Data Traces from Princeton ZebraNet Deployments". CRAWDAD Database, 2007. (CRAWDAD Database)

Eric Chi, Stephen A. Lyon, Margaret Martonosi. "Tailoring Quantum Architectures to Implementation Style: A Quantum Computer for Mobile and Persistent Qubits". International Symposium on Computer Architecture (ISCA 2007), June 2007. (pdf)

Eric Chi, Stephen A. Lyon, Margaret Martonosi. "A Combinatorial Noise Model for Quantum Computer Simulation". NSC 2007, June 2007. (pdf)

Gilberto Contreras, Margaret Martonosi, Jinzhang Peng, Guie-Yuan Lueh, Roy Ju. "The XTREM Power and Performance Simulator for the Intel XScale Core: Design and Experiences". ACM Transactions on Embedded Computing Systems. Vol. 6, Num. 1., February 2007. (pdf)

Canturk Isci, Alper Buyuktosunoglu, Chen-Yong Cher, Pradip Bose and Margaret Martonosi. "An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget." 39th ACM/IEEE International Symposium on Microarchitecture (MICRO-39), December 2006. (pdf)

Canturk Isci, Gilberto Contreras and Margaret Martonosi. "Live, Runtime Phase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management." 39th ACM/IEE International Symposium on Microarchitecture (MICRO-39), December 2006. (pdf)

Pei Zhang, Chris Sadler, Margaret Martonosi. "Middleware for Long-term Deployment of Delay-tolerant Sensor Networks". The first International Workshop on Middleware for Sensor Networks (MidSens'06), November 2006. (pdf)

Pei Zhang, Margaret Martonosi. "Energy Adaptation Techniques to Optimize Data Delivery in Store-and-Forward Sensor Networks". The fourth ACM Conference on Embedded Networked Sensor Systems,November 2006. (pdf)

Christopher Sadler and Margaret Martonosi. "Data Compression Algorithms for Energy-Constrained Devices in Delay Tolerant Networks." The Proceedings of the ACM Conference on Embedded Networked Sensor Systems (SenSys), November 2006. (pdf)

Gilberto Contreras and Margaret Martonosi. "Techniques for Real-System Characterization of Java Virtual Machine Energy and Power Behavior." IEEE International Symposium on Workload Characterization (IISWC), October 2006. (pdf)

Canturk Isci and Margaret Martonosi. "Phase Detection and Prediction on Real Systems for Workload-Adaptive Power Management." SRC Student Symposium,October 2006 (pdf)

James Donald and Margaret Martonosi. "Power Efficiency for Variation-Tolerant Multicore Processors." International Symposium on Low Power Electronics and Design (ISLPED), October 2006. (pdf)

Yong Wang, Margaret Martonosi and Li-Shiuan Peh. "Supervised Learning in Sensor Networks: New Approaches with Routing, Reliability Optimizations." Proceedings of IEEE Conference on Sensor, Mesh, and Ad Hoc Communications and Networks (SECON 2006), September 2006. (pdf)

Yong Wang, Chieh-Yih Wan, Margaret Martonosi and Li-Shiuan Peh. "Transport Layer Approaches for Improving Idle Energy in Challenged Sensor Networks." Proceedings of ACM SIGCOMM Workshop on Challenged Sensor Networks (CHANTS 2006), September 2006. (pdf)

Yong Wang, Margaret Martonosi and Li-Shiuan Peh. "Situation-aware Caching Strategies in Highly Varying Mobile Networks." Proceedings of IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2006), September 2006. (pdf)

James Donald and Margaret Martonosi. "An Efficient, Practical Parallelization Methodology for Multicore Architecture Simulation." Computer Architecture Letters, Volume 5, August 2006. (pdf)

James Donald and Margaret Martonosi. "Techniques for Multicore Thermal Management: Classification and New Exploration." 33rd International Symposium on Computer Architecture (ISCA-33), June 2006. (ps) (pdf)

Yong Wang, Margaret Martonosi and Li-Shiuan Peh. "A Supervised Learning Approach for Routing Optimizations in Wireless Sensor Networks." Proceedings of ACM/SIGMOBILE Workshop on Multi-Hop Ad Hoc Networks: From Theory to Reality (REALMAN 2006), May 2006. (ps) (pdf)

Qiang Wu, Margaret Martonosi, Douglas W. Clark, V. J. Reddi, Dan Connors, Youfeng Wu, Jin Lee, and David Brooks. "Dynamic-Compiler-Driven Control for Microprocessor Energy and Performance." IEEE Micro Special Issue: Top Picks from Computer Architecture Conferences, Volume 26, No. 1, pp. 119-129, February 2006. (ps) (pdf)

Canturk Isci and Margaret Martonosi. "Phase Characterization for Power: Evaluating Control-Flow-Based and Event-Counter-Based Techniques." 12th International Symposium on High-Performance Computer Architecture (HPCA-12), February 2006. (ps) (pdf)

Pei Zhang, Chris Sadler, Ting Liu, Ilya Fishchhoff, Margaret Martonosi, Steven Lyon, Daniel I.Rubenstein. "Habitat Monitoring with ZebraNet: Design and Experiences". book chapter in "Wireless Sensor Networks: A Systems Perspective", N.Bulusu and S.Jha (editors) ,Artech House 2005.

Qiang Wu, V. J. Reddi, Youfeng Wu, Jin Lee, Dan Connors, David Brooks, Margaret Martonosi, and Douglas W. Clark. "A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance." 38th International Symposium on Microarchitecture (MICRO-38), November 2005. Best Paper Award. (ps) (pdf)

Julia Chen, Philo Juang, Kevin Ko, Gilberto Contreras, David Penry, Ram Rangan, Adam Stoler, Li-Shiuan Peh, and Margaret Martonosi. "Hardware-Modulated Parallelism in Chip Multiprocessors." Workshop on Design, Architecture, and Simulation of Chip Multiprocessors (dasCMP) in conjunction with MICRO-38, November 2005. (ps) (pdf)

Canturk Isci, Alper Buyuktosunoglu, and Margaret Martonosi. "Long-term Workload Phases: Duration Predictions and Applications to DVFS." IEEE Micro, Volume 25, No. 5, pp. 39-51, September/October 2005. (ps) (pdf)

Canturk Isci and Margaret Martonosi. "Detecting Recurrent Phase Behavior Under Real-System Variability." IEEE International Symposium on Workload Characterization (IISWC), October 2005. (ps) (pdf)

Qiang Wu, Philo Juang, M. Martonosi, Li-Shiuan Peh, and Douglas W. Clark, "Formal Control Techniques for Power-Performance Management." IEEE Micro, Volume 25, No. 5, pp. 52-63, September 2005. (ps) (pdf)

Gilberto Contreras and Margaret Martonosi. "Power Prediction for Intel XScale Processors Using Performance Monitoring Unit Events." International Symposium on Low Power Electronics and Design (ISLPED), August 2005. (ps) (pdf)

Philo Juang, Qiang Wu, Li-Shiuan Peh, Margaret Martonosi, and Douglas W. Clark. "Formal Coordinated, Distributed Energy Management of Chip Multiprocessors." International Symposium on Low Power Electronics and Design (ISLPED). August 2005. (ps) (pdf)

Fen Xie, Margaret Martonosi, and Sharad Malik. "Bounds on Power Savings Using Runtime Dynamic Voltage/Frequency Scaling: An Exact Algorithm and A Linear-time Heuristic Approximation." International Symposium on Low Power Electronics and Design (ISLPED), August 2005. (ps) (pdf)

Yong Wang, Sushant Jain, Margaret Martonosi and Kevin Fall. "Erasure Coding Based Routing for Opportunistic Networks." Proceedings of ACM SIGCOMM Workshop on Delay Tolerant Networking and related topics (WDTN-05), August 2005. (ps) (pdf)

James Donald and Margaret Martonosi. "Leveraging Simultaneous Multithreading for Adaptive Thermal Control." Second Workshop on Temperature-Aware Computer Systems (TACS) in conjunction with ISCA-32, June 2005. (ps) (pdf)

Qiang Wu, Philo Juang, Margaret Martonosi, and Douglas W. Clark. "Voltage and Frequency Control with Adaptive Reaction Time in Multiple-Clock-Domain Processors." 11th International Symposium on High-Performance Computer Architecture (HPCA-11), February 2005. (ps) (pdf)

Pei Zhang, Christopher Sadler, Stephen Lyon, and Margaret Martonosi, "Hardware Design Experiences in ZebraNet." Proceedings of the ACM Conference on Embedded Networked Sensor Systems (SenSys), November 2004. (ps) (pdf)

Qiang Wu, Philo Juang, Margaret Martonosi, and Douglas W. Clark, "Formal Online Methods for Voltage/Frequency Control in Multiple Clock Domain Microprocessors." 11th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-XI), October 2004. (ps) (pdf)

Yong Wang, Margaret Martonosi and Li-Shiuan Peh."MARio: Mobility-Adaptive Routing Using Route Lifetime Abstractions in Mobile Ad Hoc Networks" ACM Sigmobile Mobile Communication and Communications Review (MC2R), Volume 8, Issue 4, October 2004. (pdf)

Fen Xie, Margaret Margaret, and Sharad Malik. "Intra-program Dynamic Voltage Scaling: Bounding Opportunities with Analytical Modeling." ACM Transactions on Architecture and Code Optimization (TACO), September 2004. (ps) (pdf)

James Donald and Margaret Martonosi. "Temperature-Aware Design Issues for SMT and CMP Architectures." Fifth Workshop on Complexity-Effective Design (WCED) in conjunction with ISCA-31, June 2004. (ps) (pdf)

Philo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Philip W. Diodato, and Stefanos Kaxiras. "Implementing Branch Predictor Decay Using Quasi-Static Memory Cells." ACM Transactions on Architecture and Code Optimization (TACO), June 2004. (ps) (pdf)

Ting Liu, Christopher Sadler, Pei Zhang, and Margaret Martonosi. "Implementing Software on Resource-Constrained Mobile Sensors: Experiences with Impala and ZebraNet." Second International Conference on Mobile Systems, Applications, and Services (MobiSys '04), June 2004. (ps) (pdf)

Gilberto Contreras, Margaret Martonosi, Jinzhan Peng, Roy Ju, and Guei-Yuan Lueh. "XTREM: A Power Simulator for the Intel XScale Core." 2004 Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES '04), June 2004. (ps) (pdf)

Russ Joseph, Zhigang Hu, and Margaret Martonosi. "Wavelet Analysis for Microprocessor Design: Experiences with dI/dt Based Wavelet Chracterization." Tenth International Symposium on High-Performance Computing (HPCA-10), February 2004. (ps) (pdf)

Canturk Isci and Margaret Martonosi. "Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data." 36th International Symposium on Microarchitecture (MICRO-36), December 2003. (ps) (pdf)

Canturk Isci and Margaret Martonosi. "Identifying Program Power Phase Behavior Using Power Vectors", Sixth Workshop on Workload Characterization (WWC-6), November 2003. (ps) (pdf)

Fen Xie, Margaret Martonosi, and Sharad Malik. "Compile-Time Dynamic Voltage Scaling Settings: Opportunities and Limits." ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI '03), June 2003. (ps) (pdf)

Ting Liu and Margaret Martonosi. "Impala: A Middleware System for Managing Autonomic, Parallel Sensor Systems." ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP'03), June 2003. (ps) (pdf)

Zhigang Hu, Stefanos Kaxiras, and Margaret Martonosi. "Improving Cache Power Efficiency with an Asymmetric Set-Associative Cache." chapter in High Performance Memory Systems, Springer Verlag, November 2003. (ps) (pdf)

Zhigang Hu, Stefanos Kaxiras, and Margaret Martonosi. "Timekeeping Techniques for Predicting and Optimizing Memory Behavior." 2003 International Solid-State Circuits Conference (ISSCC '03). February 2003. (ps) (pdf)

Zhigang Hu, Margaret Martonosi, and Stefanos Kaxiras. "TCP: Tag Correlating Prefetchers." Ninth International Conference on High-Performance Computer Architecture (HPCA-9), February 2003 (ps) (pdf)

Russ Joseph, David Brooks, and Margaret Martonosi. "Control Techniques to Eliminate Voltage Emergencies in High Performance Processors." The Ninth International Symposium on High-Performance Computer Architecture (HPCA-9), February 2003. (ps) (pdf)

Zhigang Hu. "The Timekeeping Methodology: Exploiting Generational Lifetime Behavior to Improve Processor Power and Performance." Ph.D. thesis, Department of Electrical Engineering, Princeton University, September 2002. (ps) (pdf)

Philo Juang, Hidekazu Oki, Yong Wang, Margaret Martonosi, Li-Shiuan Peh, and Daniel Rubenstein. "Energy-Efficient Computing for Wildlife Tracking: Design Tradeoffs and Early Experiences with ZebraNet." Tenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-X), October 2002. (ps) (pdf)

Philo Juang, Phil Diodato, Stefanos Kaxiras, Kevin Skadron, Zhigang Hu, Margaret Martonosi, and Douglas W. Clark. "Implementing Decay Techniques Using 4T Quasi-Static Cells." Computer Architecture Letters, Volume 1, September 2002. (ps) (pdf)

Zhigang Hu, Philo Juang, Kevin Skadron, Margaret Martonosi, and Douglas W. Clark. "Applying Decay Strategies to Branch Predictors for Leakage Energy Savings." 2002 International Conference on Computer Design (ICCD), September 2002. (ps) (pdf)

Zhigang Hu, Philo Juang, Phil Diodato, Stefanos Kaxiras, Kevin Skadron, Margaret Martonosi, and Douglas W. Clark. "Managing Leakage for Transient Data: Decay and Quasi-Static 4T Memory Cells."International Symposium on Low Power Electronics and Design (ISLPED), August 2002. (ps) (pdf)

Zhigang Hu, Stefanos Kaxiras, and Margaret Martonosi. "Timekeeping in the Memory System: Predicting and Optimizing Memory Behavior." 29th International Symposium on Computer Architecture (ISCA-29), May 2002. (ps) (pdf)

Zhigang Hu, Stefanos Kaxiras, and Margaret Martonosi. "Let Caches Decay: Reducing Leakage Energy via Exploitation of Cache Generational Behavior." ACM Transaction on Computer Systems (TOCS), Volume 20, No. 2, pp. 161-190, May 2002. (ps) (pdf)

Stefanos Kaxiras, Girija Narlikar, Alan D. Berenbaum, and Zhigang Hu. "Comparing Power Consumption of SMT DSPs and CMP DSPs for Mobile Phone Workloads." International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES), November 2001. (ps) (pdf)

Russ Joseph and Margaret Martonosi. "Run-time Power Estimation in High-Performance Microprocessors."International Symposium on Low Power Electronics and Design (ISLPED), August 2001. (ps) (pdf)

Zhigang Hu, Stefanos Kaxiras, and Margaret Martonosi. "Improving Cache Power Efficiency with an Asymmetric Set-Associative Cache." Workshop on Memory Performance Issues (WMPI) in conjunction with ISCA-28, June 2001. (ps) (pdf)

Russ Joseph, David Brooks, and Margaret Martonosi. "Live, Runtime Power Measurements as a Foundation for Evaluating Power/Performance Tradeoffs." Workshop on Complexity Effectice Design (WCED) in conjunction with ISCA-28, June 2001. (ps) (pdf)

Stefanos Kaxiras, Zhigang Hu, and Margaret Martonosi. "Cache Decay: Exploiting Generational Behaviour to Reduce Cache Leakage Power." 28th International Symposium on Computer Architecture (ISCA-28), June 2001. (ps) (pdf)

Hongli Zhang and Margaret Martonosi. "A Mathematical Cache Miss Analysis for Pointer Data Structures." SIAM Conference on Parallel Processing for Scientific Computing, March 2001. (ps) (pdf)

David Brooks and Margaret Martonosi. "Dynamic Thermal Management for High-Performance Microprocessors." Seventh International Symposium on High-Performance Computer Architecture (HPCA-7), January 2001. (ps) (pdf)

David Brooks, Pradip Bose, Stanley Schuster, Hans Jacobson, Prabhakar N. Kudva, Alper Buyuktosunoglu, John-David Wellman, Victor Zyuban, Manish Gupta, and Peter W. Cook. "Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors." IEEE Micro, Volume 20, No. 6, pp. 26-44, November/December 2000. (ps) (pdf)

David Brooks, John-David Wellman, Pradip Bose, and Margaret Martonosi. "Power-Performance Modeling and Tradeoff Analysis for a High-End Microprocessor." Workshop on Power-Aware Computer Systems (PACS) in conjuction with ASPLOS-IX, November 2000. (ps) (pdf)

Stefanos Kaxiras, Zhigang Hu, Girija Nalikar, Rae McLellan. "Cache-Line Decay: A Mechanism to Reduce Cache Leakage Power." Workshop on Power-Aware Computer Systems (PACS) in conjuction with ASPLOS-IX, November 2000. (ps) (pdf)

Alper Buyuktosunoglu, Stanley Schuster, David Brooks, Pradip Bose, Peter Cook, David H Albonesi. "An Adaptive Issue Queue for Reduced Power at High Performance." Workshop on Power-Aware Computer Systems (PACS) in conjuction with ASPLOS-IX, November 2000. (ps) (pdf)

Darko Stefanovic and Margaret Martonosi. "Limits and Graph Structure of Available Instruction-Level Parallelism." European Conference on Parallel Computing, August-September 2000. (ps) (pdf)

Darko Stefanovic and Margaret Martonosi. "On Availability of Bit-narrow Operations in General-purpose Applications." 10th International Conference on Field Programmable Logic and Applications, August 2000. (ps) (pdf)

David Brooks and Margaret Martonosi. "Adaptive Thermal Management for High-Performance Microprocessors." Workshop on Complexity Effectice Design (WCED) in conjunction with ISCA-27, June 2000. (ps) (pdf)

Zhigang Hu and Margaret Martonosi. "Reducing Register File Power Consumption by Exploiting Value Lifetime Characteristics." Workshop on Complexity Effectice Design (WCED) in conjunction with ISCA-27, June 2000. (ps) (pdf)

David Brooks, Vivek Tiwari, and Margaret Martonosi. "Wattch: A Framework for Architectural-Level Power Analysis and Optimizations." 27th International Symposium on Computer Architecture (ISCA-27), June 2000. (ps) (pdf)

Xianfeng Zhou and Margaret Martonosi. "Augmenting Modern Superscalar Architectures with Configurable Extended Instructions." International Parallel and Distributed Processing Symposium (IPDPS) Reconfigurable Architectures Workshop, May 2000. (ps) (pdf)

David Brooks and Margaret Martonosi. "Value-Based Clock Gating and Operation Packing: Dynamic Strategies for Improving Processor Power and Performance." ACM Transactions on Computer Systems (TOCS), Volume 18, No. 2, pp. 89-126, May 2000. (ps) (pdf)

Zhen Luo, Margaret Martonosi, and Pranav Ashar. "An Edge-Endpoint-Based Configurable Hardware Architecture for VLSI Layout Design Rule Checking." VLSI Design, Volume 10, No. 3, pp. 249-263, March 2000.

Zhen Luo and Margaret Martonosi. "Accelerating Pipelined Integer and Floating-Point Accumulations in Configurable Hardware with Delayed Addition Techniques." IEEE Transactions on Computers (TC), Volume 49, Issue 3, pp. 208-218, March 2000. (ps) (pdf)

Somnath Ghosh, Margaret Martonosi, and Sharad Malik. "Cache Miss Equations: A Compiler Framework for Analyzing and Tuning Memory Behavior." ACM Transactions on Programming Languages and Systems (TOPLAS), Volume 21, No. 4, pp. 703-746, July 1999. (ps) (pdf)

Peixin Zhong, Margaret Martonosi, Pranav Ashar, and Sharad Malik. "Using Configurable Computing to Accelerate Boolean Satisfiability." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Volume 18, No. 6, pp. 861-868, June 1999. (ps) (pdf)

Zhen Luo, Margaret Martonosi, and Pranav Ashar. "An Edge-Endpoint-Based Configurable Hardware Architecture for VLSI CAD Layout Design Rule Checking." 7th IEEE Symposium on FPGAs for Custom Computing Machines (FCCM '99), April 1999. (ps) (pdf)

David Brooks and Margaret Martonosi. "Implementing Application-Specific Cache-Coherence Protocols in Configurable Hardware." Workshop on Communications, Architecture, and Applications for Network-based Parallel Computing in conjunction with HPCA-5, January 1999. (ps) (pdf)

David Brooks and Margaret Martonosi. "Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance." Fifth International Symposium on High-Performance Computer Architecture (HPCA-5), January 1999. (ps) (pdf)

Margaret Martonosi, Scott Karlin, Cheng Liao, and Douglas W. Clark. "Performance Monitoring Infrastructure in the Shrimp Multicomputers." International Journal of Parallel and Distributed Systems and Networks. Invited paper in special issue on Measurement of Program and System Performance, Volume 2, No. 3, pp. 126-133, 1998.

Mary W. Hall and Margaret Martonosi. "Adaptive Parallelism in Compiler-Parallelized Code." Concurrency - Practice and Experience, Volume 10, No. 14, pp. 1235-1250, December 1998. (ps) (pdf)

Kevin Skadron, Pritpal S. Ahuja, Margaret Martonosi, and Douglas W. Clark. "Improving Prediction for Procedure Returns with Return-Address-Stack Repair Mechanisms." 31st International Symposium on Microarchitecture (MICRO-31), November 1998. (ps) (pdf)

Zhen Luo and Margaret Martonosi. "Using Delayed Addition to Accelerate Integer and Floating-Point Arithmetic on FPGAs." SPIE Conference on Configurable Computing - Technology and Applications, November 1998. (ps) (pdf)

Somnath Ghosh, Margaret Martonosi, and Sharad Malik. "Precise Miss Analysis for Program Transformations with Caches of Arbitrary Associativity." Eighth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-VIII), October 1998. (ps) (pdf)

Peixin Zhong, Margaret Martonosi, Sharad Malik, and Pranav Ashar. "Solving Boolean Satisfiability with Dynamic Hardware Configurations." Eighth International Workshop on Field Programmable Logic and Applications, August 1998. (ps) (pdf)

Cheng Liao, Margaret Martonosi, and Douglas W. Clark. "Performance Monitoring in a Myrinet-Connected Shrimp Cluster." 1998 ACM SIGMETRICS Symposium on Parallel and Distributed Tools (SPDT), August 1998. (ps) (pdf)

Cheng Liao, Dongming Jiang, Margaret Martonosi, Douglas W. Clark, and Liviu Iftode. "Monitoring Shared Virtual Memory on a Myrinet-based PC Cluster." International Conference on Supercomputing (ICS), July 1998. (ps) (pdf)

Kevin Skadron, Pritpal S. Ahuja, Margaret Martonosi, and Douglas W. Clark. "Multi-Path Execution: Opportunities and Limits." 12th International Conference on Supercomputing (ICS), July 1998. (ps) (pdf)

Matthias A. Blumrich, Richard D. Alpert, Yuqun Chen, Douglas W. Clark, Stefanos N Damianakis, Cezary Dubnicki, Edward W. Felten, Liviu Iftode, Kai Li, Margaret Martonosi, and Robert A. Shillner. "Design Choices in the SHRIMP System: An Empirical Study." 25th International Symposium on Computer Architecture (ISCA), June 1998. (ps) (pdf)

Peixin Zhong, Pranav Ashar, Sharad Malik, and Margaret Martonosi. "Using Reconfigurable Computing Techniques to Accelerate Problems in the CAD Domain: A Case Study with Boolean Satisfiability". 35th Design Automation Conference (DAC), June 1998. (ps) (pdf)

Mark Horowitz, Margaret Martonosi, Todd C. Mowry, and Michael D. Smith. "Informing Memory Operations: Memory Performance Feedback Mechanisms and their Applications." ACM Transactions on Computer Systems (TOCS), May 1998. (ps) (pdf)

Peixin Zhong, Margaret Martonosi, Pranav Ashar, and Sharad Malik. "Accelerating Boolean Satisfiability with Configurable Hardware." IEEE Symposium on FPGAs for Custom Computing Machines , April 1998. (ps) (pdf)

Per Stenstrom, Erik Hagersten, David Lilja, Margaret Martonosi, and Madan Venugopal. "Trends in Shared-Memory Multiprocessing." IEEE Computer, Volume 30, No. 12, pp. 44-50, December 1997. (ps) (pdf)

Somnath Ghosh, Margaret Martonosi, and Sharad Malik. "Cache Miss Equations: An Analytical Representation of Cache Misses." 11th International Conference on Supercomputing (ICS), July 1997. (ps) (pdf)

Somnath Ghosh, Margaret Martonosi, and Sharad Malik. "Cache Miss Equations: An Analytical Representation of Cache Misses." IEEE TCCA Newsletter, June 1997.

Margaret Martonosi and Kelly Shaw. "Interactions between Application Write Performance and Compilation Techniques: A Preliminary View." IEEE TCCA Newsletter, June 1997.

Sharad Malik, Margaret Martonosi, and Yau-Tsun Steven Li. "Static Timing Analysis of Embedded Software." 34th Design Automation Conference (DAC), June 1997. (ps) (pdf)

Evan Torrie, Margaret Martonosi, Chau-Wen Tseng, and Mary W. Hall. "Characterizing the Memory Behavior of Compiler-Parallelized Applications." IEEE Transactions on Parallel and Distributed Systems (TPDS), Volume 7, No. 12, December 1996. (ps) (pdf)

Peixin Zhong and Margaret Martonosi. "Using Reconfigurable Hardware to Customize Memory Hierarchies." SPIE Conference on Reconfigurable Technology for Rapid Product Development and Computing, November 1996. (ps) (pdf)

Evan Torrie, Margaret Martonosi, Mary W. Hall, and Chau-Wen Tseng. "Memory Referencing Behavior in Compiler-Parallelized Applications." International Journal of Parallel Programming, Volume 24, No. 4, pp. 249-376, August 1996. (ps) (pdf)

Mark Horowitz, Margaret Martonosi, Todd C. Mowry, and Michael D. Smith. "Memory Performance Feedback Mechanisms in Modern Processors." 23rd International Symposium on Computer Architecture (ISCA-23), May 1996. (ps) (pdf)

Margaret Martonosi, Douglas W. Clark, and Malena Mesarina. "The SHRIMP Hardware Performance Monitor: Design and Applications." 1996 ACM Sigmetrics Symposium on Parallel and Distributed Tools, May 1996. (ps) (pdf)

Margaret Martonosi, David Ofelt, and Mark Heinrich. "Integrating Performance Monitoring and Communication in Parallel Computers." 1996 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, May 1996. (ps) (pdf)

Evan Torrie, Chau-Wen Tseng, Margaret Martonosi, and Mary W. Hall. "Evaluating the Impact of Advanced Memory Systems on Compiler-Parallelized Codes." International Conference on Parallel Architectures and Compilation Techniques (PACT), June 1995. (ps) (pdf)

Margaret Martonosi, Anoop Gupta, and Thomas E. Anderson. "Tuning Memory Performance in Sequential and Parallel Programs." IEEE Computer, April 1995. (ps) (pdf)

Margaret Martonosi, Anoop Gupta, and Thomas E. Anderson. "Effectiveness of Trace Sampling for Performance Debugging Tools." 1993 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, May 1993. (ps) (pdf)

Margaret Martonosi, Anoop Gupta, and Thomas E. Anderson. "MemSpy: Analyzing Memory System Bottlenecks in Programs." 1992 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, June 1992. (ps) (pdf)

Margaret Martonosi and Anoop Gupta. "Tradeoffs in Message Passing and Shared Memory Implementations of a Standard Cell Router." 1989 International Conference on Parallel Processing (ICPP), August 1989. (ps) (pdf)